Saturday, June 1, 2013

Sequence library in UVM !!!


Sequences can be grouped using  uvm sequence library ,  sequences can be registered to sequence library using the macro `uvm_add_to_seq_lib(). When the sequence library is started it randomly selects and executes the sequence depending on the selection mode. Selection modes can be any of the following

UVM_SEQ_LIB_RAND      --  Random selection of sequence 
UVM_SEQ_LIB_RANDC   --  Random selection without repeating the sequences
UVM_SEQ_LIB_ITEM       --  Execute  a single sequence item
UVM_SEQ_LIB_USER      --  user selects  sequences using select_sequence() method


Selection mode of the sequence library is set using  uvm_config_db().

sequence library can be used to create system level scenario using random sequences.