Thursday, August 15, 2013

Build in command line options in UVM !!!


here are some of the useful build in command line options in UVM.

instance specific factory override  :   +uvm_set_inst_override
type specific factory override          :   +uvm_set_type_override
integer configuration                        :   +uvm_set_config_int
string configuration                           :   +uvm_set_config_string
Timeout                                               :   +UVM_TIMEOUT
Max quit count                                   :   +UVM_MAX_QUIT_COUNT
Objection trace                                  :   +UVM_OBJECTION_TRACE


These command line options helps in quick debug and test writing.